The Swarm Architecture

Making Multicore Parallelism Pervasive


Get Started with Swarm

The Swarm project aims to scale hard-to-parallelize applications through research in programming models and multicore architectures. Our goal is to enable programmers to write efficient and scalable parallel programs as easily as they write sequential programs today.

Code Releases

Swarm code is publicly released at the SwarmArch org on GitHub.

Links to specific code repositories related to particular publications are also included below.

Publications

Papers

Taming the Zoo: The Unified GraphIt Compiler Framework for Novel Architectures
Ajay Brahmakshatriya, Emily Furst, Victor A. Ying, Claire Hsu, Changwan Hong, Max Ruttenberg, Yunming Zhang, Dai Cheol Jung, Dustin Richmond, Michael B. Taylor, Julian Shun, Mark Oskin, Daniel Sanchez, and Saman Amarasinghe
Proceedings of the 48th Annual International Symposium on Computer Architecture (ISCA), June 2021
PDF, BibTeX, code on GitHub

T4: Compiling Sequential Code for Effective Speculative Parallelization in Hardware
Victor A. Ying, Mark C. Jeffrey, and Daniel Sanchez
Proceedings of the 47th Annual International Symposium on Computer Architecture (ISCA), June 2020
PDF, DOI, BibTeX, video, slides, lightning slides, code on GitHub

Chronos: Efficient Speculative Parallelism for Accelerators
Maleen Abeydeera and Daniel Sanchez
Proceedings of the 25th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), March 2020
PDF, DOI, BibTeX, video, code on GitHub

Harmonizing Speculative and Non-Speculative Execution in Architectures for Ordered Parallelism
Mark C. Jeffrey, Victor A. Ying, Suvinay Subramanian, Hyun Ryong (Ryan) Lee, Joel Emer, and Daniel Sanchez
Proceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), October 2018
PDF, DOI, BiBTeX

SAM: optimizing multithreaded cores for speculative parallelism
Maleen Abeydeera, Suvinay Subramanian, Mark C. Jeffrey, Joel Emer, and Daniel Sanchez
Proceedings of the 27th International Conference on Parallel Architectures and Compilation Techniques (PACT), September 2017
PDF, DOI, BibTeX

Fractal: An Execution Model for Fine-Grain Nested Speculative Parallelism
Suvinay Subramanian, Mark C. Jeffrey, Maleen Abeydeera, Hyun Ryong (Ryan) Lee, Victor A. Ying, Joel Emer, and Daniel Sanchez
Proceedings of the 44th Annual International Symposium on Computer Architecture (ISCA), June 2017
PDF, DOI, BibTeX

Data-Centric Execution of Speculative Parallel Programs
Mark C. Jeffrey, Suvinay Subramanian, Maleen Abeydeera, Joel Emer, and Daniel Sanchez
Proceedings of the 49st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), October 2016
PDF, DOI, BibTeX

Unlocking Ordered Parallelism with the Swarm Architecture
Mark C. Jeffrey, Suvinay Subramanian, Cong Yan, Joel Emer, and Daniel Sanchez
IEEE Micro’s Top Picks from the Computer Architecture Conferences, 36(3), May/June 2016
PDF, DOI, BibTeX

A Scalable Architecture for Ordered Parallelism
Mark C. Jeffrey, Suvinay Subramanian, Cong Yan, Joel Emer, and Daniel Sanchez
Proceedings of the 48st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO), December 2015
PDF, DOI, BibTeX

Recorded Talks

In addition to the links to specific videos for papers above, we have collected all videos describing Swarm projects in this YouTube playlist.